О САЙТЕ
Добро пожаловать!

Теперь вы можете поделиться своей работой!

Просто нажмите на значок
O2 Design Template

ФЭА / АИТ / Отчет по лабораторной работе №3.7 «Исследование работы цифрового компаратора» по дисциплине: «Устройства цифровой автоматики»

(автор - student, добавлено - 18-01-2014, 23:13)

 

СКАЧАТЬ:  uca-laba-3.7.zip [542,8 Kb] (cкачиваний: 295)

 

 

 

Отчет по лабораторной работе №3.7

«Исследование работы цифрового компаратора»

по дисциплине:

«Устройства цифровой автоматики»

 

 

 

 

 

 


Задание 3.7. Исследование работы цифрового компаратора.

 

Цель работы:

Освоение этапов проектирования одноразрядных и многоразрядных цифровых компараторов; исследование работы полученных схем.

 

Краткие сведения из теории

Цифровой компаратор предназначен для сравнения двух дво­ичных чисел.

В табл.1 указывается связь между сигналами на выходах и входах компаратора при сравнении одноразрядных чисел а и b, которые могут быть равны единице или нулю. На соответст­вующем выходе появляется логическая единица, когда в долж­ном соотношении находятся сигналы на входах. Так, если а =1, b=1 (числа одинаковы), то функция, характеризующая равенство чисел, Fa=b=1, а функции, характеризующие их неравенство, Fa>b = 0 и Fa<b = 0. Аналогично заполняются другие строки таб­лицы.

 

Таблица 1

Входы

Выходы

а 

b 

Fa>b

Fa=b

Fa<b

1

1

0

1

0

1

0

1

0

0

0

1

0

0

1

0

0

0

1

0

 

 

По известным правилам из табл. 1  можно записать следу­ющие логические функции, характеризующие соотношение одно­разрядных чисел:

Fa>b=, Fa=b=аb + , Fa<b=.

 

Если значения a и b таковы, что правая часть функции равна 1, то соотношение, указанное в левой части, выполняется. Если правая часть функции равна 0, то соотношение между а и b про­тивоположно указанному. Схема одноразрядного компаратора, реализующая приведен­ные функции, изображена на рис. 1.

 

Рис.1.

Порядок выполнения задания:

  1. Используя программу Electronics Workbench, построили схему одноразрядного цифрового компаратора, изображенную на рис. 1.
  2.  Подключили к данной схеме логический преобразователь и получили таблицу истинности и логическое выражение для каждого режима работы.
  3. На основе приведенной таблицы истинности (Таблица 2) спроектировали цифровую схему сравнения двухразрядных двоичных чисел (А<B; А=B; А>B). Для этого на основе таблицы истинности для компаратора составили логические уравнения (для A>B, A<B, A=B), минимизировали их. Затем построили схему на простейших элементах и проанализировали работу схемы, подключив логический анализатор.

 

Таблица 2

 Таблица истинности цифровой схемы сравнения

A

B

A>B

A<B

A=B

a

b

c

d

0

0

0

0

0

0

1

0

0

0

1

0

1

0

0

0

1

0

0

1

0

0

0

1

1

0

1

0

0

1

0

0

1

0

0

0

1

0

1

0

0

1

0

1

1

0

0

1

0

0

1

1

1

0

1

0

1

0

0

0

1

0

0

1

0

0

1

1

0

0

1

0

1

0

0

0

1

1

0

1

1

0

1

0

1

1

0

0

1

0

0

1

1

0

1

1

0

0

1

1

1

0

1

0

0

1

1

1

1

0

0

1

 

 

 

 

Выполнение задания:

 

 

Рис.2

 

         Собрали схему одноразрядного цифрового компаратора. Подключили к логическому преобразователю входы А и В и выход, соответствующий А>B. Получили логическое выражение и таблицу истинности, показанную на рис.2. Проверили работу схемы путем подключения к входам и выходам световых индикаторов.

 

 

Рис.3.

 

Подключили к логическому преобразователю входы А и В и выход, соответствующий А=B. Получили логическое выражение и таблицу истинности, показанную на рис.3.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис.4.

 

Подключили к логическому преобразователю входы А и В и выход, соответствующий А<B. Получили логическое выражение и таблицу истинности, показанную на рис.4.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис.5.

 

         По заданной таблице истинности для четырехразрядного цифрового компаратора для логического уравнения A>B, используя логический преобразователь, получили логическое выражение. Минимизировали и построили схему на простейших  элементах.

 

 

 

Рис.6.

 

         Проанализировали работу схемы, подключив к логическому анализатору все входы и выход компаратора. Получили временные диаграммы, показанные на рис.6.

 

 

 

 

 

 

 

 

Рис.7.

 

         Получили логическое выражение для уравнения A<B. Минимизировали и построили схему на простейших  элементах.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис.8.

 

            Исследовали правильность работы схемы по временным диаграммам, полученным с помощью логического анализатора.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис.8.

 

         По таблице истинности для уравнения A=B получили неупрощаемое  логическое выражение, на основе которого построили схему, показанную на рис.8.

 

 

 

 

 

Рис.9.

 

         С помощью логического анализатора получили временные диаграммы, свидетельствующие о правильности работы схемы.

 

 


Ключевые слова -


ФНГ ФИМ ФЭА ФЭУ Яндекс.Метрика
Copyright 2021. Для правильного отображения сайта рекомендуем обновить Ваш браузер до последней версии!